IEEE Transactions on Very Large Scale Integration (VLSI) Systems

短名IEEE Trans. VLSI Syst.
Journal Impact3.00
国际分区ENGINEERING, ELECTRICAL & ELECTRONIC(Q2)
期刊索引SCI Q2中科院 2 区
ISSN1063-8210, 1557-9999
h-index119
国内分区工程技术(2区)工程技术计算机硬件(2区)工程技术工程电子与电气(3区)

IEEE TRANSACTIONS ON VLSI SYSTEMS 作为月刊出版,由 IEEE 电路和系统学会、IEEE 计算机学会和 IEEE 固态电路学会共同赞助。使用 VLSI/ULSI 技术设计和实现微电子系统需要系统架构、逻辑和电路设计、芯片和晶圆制造、封装、测试和系统应用领域的科学家和工程师之间的密切合作。规范的生成、设计和验证必须在所有抽象级别执行,包括系统、寄存器传输、逻辑、电路、晶体管和过程级别。为了通过公共论坛解决这个关键领域,IEEE TRANSACTIONS ON VLSI SYSTEMS成立。由国际专家组成的编委邀请原创论文,强调和评价微电子系统的新颖系统集成方面,包括系统设计和分区、逻辑和存储器设计、数字和模拟电路设计、布局合成、CAD工具、芯片之间的交互晶圆制造、测试和封装以及系统级认证。因此,这些交易的覆盖范围将集中在 VLSI/ULSI 微电子系统集成上。

期刊主页投稿网址
涉及主题计算机科学工程类电气工程电子工程物理量子力学数学嵌入式系统操作系统电信材料科学算法程序设计语言光电子学计算机硬件并行计算计算机网络电压热力学CMOS芯片超大规模集成功率(物理)统计计算机体系结构人工智能
出版信息出版商: Institute of Electrical and Electronics Engineers Inc.出版周期: Bimonthly期刊类型: journal
基本数据创刊年份: 1993原创研究文献占比100.00%自引率:10.70%Gold OA占比: 7.13%
平均审稿周期 网友分享经验:一般,3-6周
平均录用比例网友分享经验:容易

期刊引文格式

这些示例是对学术期刊文章的引用,以及它们应该如何出现在您的参考文献中。

并非所有期刊都按卷和期组织其已发表的文章,因此这些字段是可选的。有些电子期刊不提供页面范围,而是列出文章标识符。在这种情况下,使用文章标识符而不是页面范围是安全的。

只有1位作者的期刊

有2位作者的期刊

有3位作者的期刊

有5位以上作者的期刊

书籍引用格式

以下是创作和编辑的书籍的参考文献的示例。

学位论文引用格式

网页引用格式

这些示例是对网页的引用,以及它们应该如何出现在您的参考文献中。

专利引用格式

最新文章

IEEE Transactions on Very Large Scale Integration (VLSI) Systems Publication Information

2024-9-1

IEEE Transactions on Very Large Scale Integration (VLSI) Systems Society Information

2024-9-1

Table of Contents

2024-8-26

An 112-Ch Neural Signal Acquisition SoC With Full-Channel Read-Out and Processing Accelerators

2024-8-1

High-Throughput Bilinear Pairing Processor for Server-Side FPGA Applications

2024-8-1

Multibit Content Addressable Memory Design and Optimization Based on 3-D nand-Compatible IGZO Flash

2024-8-1

IEEE Transactions on Very Large Scale Integration (VLSI) Systems Society Information

2024-7-25

Table of Contents

2024-7-25

IEEE Transactions on Very Large Scale Integration (VLSI) Systems Publication Information

2024-7-25

Proteus: A Pipelined NTT Architecture Generator

2024-7-1

Table of Contents

2024-7-1

Low-Complexity VLSI Architecture for OTFS Transceiver Under Multipath Fading Channel

2024-7-1

A Low Complexity Online Learning Approximate Message Passing Detector for Massive MIMO

2024-7-1

IEEE Transactions on Very Large Scale Integration (VLSI) Systems Society Information

2024-7-1

Design Exploration of Fault-Tolerant Deep Neural Networks Using Posit Number Representation System

2024-7-1

IEEE Transactions on Very Large Scale Integration (VLSI) Systems Publication Information

2024-7-1

Trade-Offs in Design of Wide-Band Inverter-Based Amplifiers

2024-7-1

Low Complexity Design of Logistic Distance Metric Adaptive Filter for Impulsive Noise Environments

2024-6-11

Toward Efficient Retraining: A Large-Scale Approximate Neural Network Framework With Cross-Layer Optimization

2024-6-1

Built-In Self-Test of SFQ Circuits Using Side-Channel Leakage Information

2024-6-1

Table of Contents

2024-6-1

IEEE Transactions on Very Large Scale Integration (VLSI) Systems Publication Information

2024-6-1

An Energy Efficient Soft SIMD Microarchitecture and Its Application on Quantized CNNs

2024-6-1

FLAT: Layout-Aware and Security Property-Assisted Timing Fault-Injection Attack Assessment

2024-6-1

Analyzing the Vulnerabilities of External SDRAM on System-on-Chip Field Programmable Gate Array Devices

2024-6-1

RA-Aware Fail Data Collection Architecture for Cost Reduction

2024-6-1

Better-Than-Worst-Case: A Frequency Adaptation Asynchronous RISC-V Core With Vector Extension

2024-6-1

IEEE Transactions on Very Large Scale Integration (VLSI) Systems Society Information

2024-6-1

A High Speed and Area Efficient Processor for Elliptic Curve Scalar Point Multiplication for GF($2^m$)

2024-5-10

A 24–40-GHz Broadband Beamforming TRX Front-End IC With Unified Phase and Gain Control for Multiband Phased Array Systems

2024-5-9

Factored Systolic Arrays Based on Radix-8 Multiplication for Machine Learning Acceleration

2024-5-6

Implementation of Multiple-Step Quantized STDP Based on Novel Memristive Synapses

2024-5-3

TINA: TMVP-Initiated Novel Accelerator for Lightweight Ring-LWE-Based PQC

2024-5-1

IEEE Transactions on Very Large Scale Integration (VLSI) Systems Publication Information

2024-5-1

A Wideband Input Buffer Based on Cascade Complementary Source Follower

2024-5-1

A High-Performance Transparent Memory Data Encryption and Authentication Scheme Based on Ascon Cipher

2024-5-1

Rowhammer Vulnerability of DRAMs in 3-D Integration

2024-5-1

Hierarchical Graph Learning-Based Floorplanning With Dirichlet Boundary Conditions

2024-5-1

A Low-Power 0.68-Gbps Data Communication System for Capacitive Digital Isolator With 1.9-ns Propagation Delay

2024-5-1

IEEE Transactions on Very Large Scale Integration (VLSI) Systems Society Information

2024-5-1

Table of Contents

2024-5-1

BSSE: Design Space Exploration on the BOOM With Semi-Supervised Learning

2024-5-1

Design of a High-Performance Iterative Barrett Modular Multiplier for Crypto Systems

2024-5-1

Low-Cost and Highly Robust Quadruple Node Upset Tolerant Latch Design

2024-5-1

A Novel Digital-Controlled Current-Mode Single-Inductor-Multiple-Output Buck Converter With Individual Output Overload Protection

2024-5-1

A 128-Gbps Pipelined SM4 Circuit With Dual DPA Attack Countermeasures

2024-4-2

Analysis and Calibration of Bit Weights in SAR and Pipelined SAR ADCs Based on Code Distribution

2024-4-2

Low-Power Bus Encoding by Ternary LWC and Quaternary Transition Signaling: From Initial Concept to Circuit Design

2024-4-1

FSpGEMM: A Framework for Accelerating Sparse General Matrix–Matrix Multiplication Using Gustavson’s Algorithm on FPGAs

2024-4-1

An Ultralow-Power Real-Time Machine Learning Based fNIRS Motion Artifacts Detection

2024-4-1

帮你贴心管理全部的文献

研飞ivySCI,高效的论文管理

投稿经验分享

分享我的经验,帮你走得更远

Built withby Ivy Science
Copyright © 2020-2024
版权所有:南京青藤格致信息科技有限公司