IEEE Journal on Exploratory Solid-State Computational Devices and Circuits

短名IEEE J. Explor. Solid-State Comput. Devices Circuits
Journal Impact1.94
国际分区COMPUTER SCIENCE, HARDWARE & ARCHITECTURE(Q3)
ISSN2329-9231
h-index23

期刊主页
涉及主题计算机科学工程类物理电气工程量子力学数学算法材料科学程序设计语言电子工程光电子学化学电子线路电压物理化学国家(计算机科学)
出版信息出版商: Institute of Electrical and Electronics Engineers Inc.出版周期: 期刊类型: journal
基本数据创刊年份: 2015原创研究文献占比95.83%自引率:5.00%Gold OA占比: 97.30%
平均审稿周期 网友分享经验:13 Weeks

期刊引文格式

这些示例是对学术期刊文章的引用,以及它们应该如何出现在您的参考文献中。

并非所有期刊都按卷和期组织其已发表的文章,因此这些字段是可选的。有些电子期刊不提供页面范围,而是列出文章标识符。在这种情况下,使用文章标识符而不是页面范围是安全的。

只有1位作者的期刊

有2位作者的期刊

有3位作者的期刊

有5位以上作者的期刊

书籍引用格式

以下是创作和编辑的书籍的参考文献的示例。

学位论文引用格式

网页引用格式

这些示例是对网页的引用,以及它们应该如何出现在您的参考文献中。

专利引用格式

最新文章

MEFET-Based CAM/TCAM for Memory-Augmented Neural Networks

2024-1-1

Impact of Technology Scaling and Back-end-of-the-line Technology Solutions on Magnetic Random-Access Memories

2024-1-1

Source Design of Vertical III-V Nanowire Tunnel Field-Effect Transistors

2024-1-1

CMOS SPAD Circuits for Probabilistic Computing

2024-1-1

Energy-Accuracy Trade-offs for Resistive In-Memory Computing Architectures

2024-1-1

Monolithic 3D-Based Non-Volatile Associative Processor For High-Performance Energy-Efficient Computations

2024-1-1

Modeling and Investigating Total Ionizing Dose Impact on FeFET

2023-12-1

3-D Logic Circuit Design-Oriented Electrothermal Modeling of Vertical Junctionless Nanowire FETs

2023-12-1

Stuck-at Faults Tolerance and Recovery in MLP Neural Networks Using Imperfect Emerging CNFET Technology

2023-12-1

XNOR-VSH: A Valley-Spin Hall Effect-Based Compact and Energy-Efficient Synaptic Crossbar Array for Binary Neural Networks

2023-12-1

Many-Body Effects-Based Invertible Logic With a Simple Energy Landscape and High Accuracy

2023-12-1

Modeling and Evaluation of Echo-State Networks Using Spin Torque Nano-Oscillators

2023-12-1

Table of Contents

2023-12-1

IEEE Journal on Exploratory Solid-State Computational Devices and Circuits—Volume 9, No. 2

2023-12-1

INFORMATION FOR AUTHORS

2023-12-1

INFORMATION FOR AUTHORS

2023-12-1

2023 Index IEEE Journal on Exploratory Solid-State Computational Devices and Circuits Vol. 9

2023-12-1

Special Topic on Physics-Based Modeling and Simulation of Materials, Devices, and Circuits of Beyond-CMOS Logic and Memory Technologies for Energy-Efficient Computing

2023-12-1

Front Cover

2023-12-1

Front Cover

2023-12-1

IEEE Journal on Exploratory Solid-State Computational Devices and Circuits publication information

2023-12-1

True Random Number Generator Based on RRAM-Bias Current Starved Ring Oscillator

2023-12-1

Modeling of Bilayer Modulated RRAM and Its Array Performance for Compute-in-Memory Applications

2023-12-1

Table of Contents

2023-12-1

IEEE Journal on Exploratory Solid-State Computational Devices and Circuits publication information

2023-12-1

Design Exploration of 14 nm FinFET for Energy-Efficient Cryogenic Computing

2023-11-7

Energy Efficient Logic and Memory Design with Beyond-CMOS Magneto-Electric Spin-Orbit (MESO) Technology toward Ultra Low Supply Voltage

2023-10-5

The Impact of Analog-to-Digital Converter Architecture and Variability on Analog Neural Network Accuracy

2023-9-13

Boosting RRAM-based Mixed-Signal Accelerators in FD-SOI technology for ML applications

2023-8-29

A Full-Stack View of Probabilistic Computing With p-Bits: Devices, Architectures, and Algorithms

2023-6-1

INFORMATION FOR AUTHORS

2023-6-1

A Nonvolatile Compute-in-Memory Macro Using Voltage-Controlled MRAM and In Situ Magnetic-to-Digital Converter

2023-6-1

Special Topic on Nontraditional Devices, Circuits, and Architectures for Energy-Efficient Computing

2023-6-1

Table of Contents

2023-6-1

A Stochastic Computing Scheme of Embedding Random Bit Generation and Processing in Computational Random Access Memory (SC-CRAM)

2023-6-1

A High-Parallelism RRAM-Based Compute-In-Memory Macro With Intrinsic Impedance Boosting and In-ADC Computing

2023-6-1

Front Cover

2023-6-1

IEEE Journal on Exploratory Solid-State Computational Devices and Circuits publication information

2023-6-1

Oscillator-Inspired Dynamical Systems to Solve Boolean Satisfiability

2023-6-1

A Generalized Block-Matrix Circuit for Closed-Loop Analog In-Memory Computing

2023-6-1

Nontraditional Design of Dynamic Logics Using FDSOI for Ultra-Efficient Computing

2023-4-21

Parallel Matrix Multiplication Using Voltage-Controlled Magnetic Anisotropy Domain Wall Logic

2023-4-20

Dynamical System-Based Computational Models for Solving Combinatorial Optimization on Hypergraphs

2023-1-9

HamFET: a high-performance sub-thermionic transistor through incorporating hybrid switching mechanism

2023-1-1

Special Topic on Spintronic Devices for Energy-Efficient Computing

2022-12-1

Table of Contents

2022-12-1

Time-Based Compute-in-Memory for Cryogenic Neural Network With Successive Approximation Register Time-to-Digital Converter

2022-12-1

An Energy Efficient Time-Multiplexing Computing-in-Memory Architecture for Edge Intelligence

2022-12-1

Self-Reset Schemes for Magnetic Domain Wall-Based Neuron

2022-12-1

INFORMATION FOR AUTHORS

2022-12-1

帮你贴心管理全部的文献

研飞ivySCI,高效的论文管理

投稿经验分享

分享我的经验,帮你走得更远

Built withby Ivy Science
Copyright © 2020-2024
版权所有:南京青藤格致信息科技有限公司